Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов
Предложены VHDL-модели библиотечных КМОП-элементов, позволяющие уменьшить погрешности оценок энергопотребления комбинационных схем из таких элементов и значительно сократить время получения оценок путем использования быстрого логического моделирования структурного описания схем. VHDL models of CMOS...
Saved in:
| Published in: | Управляющие системы и машины |
|---|---|
| Date: | 2014 |
| Main Authors: | , |
| Format: | Article |
| Language: | Russian |
| Published: |
Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України
2014
|
| Subjects: | |
| Online Access: | https://nasplib.isofts.kiev.ua/handle/123456789/83537 |
| Tags: |
Add Tag
No Tags, Be the first to tag this record!
|
| Journal Title: | Digital Library of Periodicals of National Academy of Sciences of Ukraine |
| Cite this: | Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов / П.Н. Бибило, А.Л. Соловьев // Управляющие системы и машины. — 2014. — № 6. — С. 34-41. — Бібліогр.: 8 назв. — рос. |
Institution
Digital Library of Periodicals of National Academy of Sciences of Ukraine| id |
nasplib_isofts_kiev_ua-123456789-83537 |
|---|---|
| record_format |
dspace |
| spelling |
Бибило, П.Н. Соловьев, А.Л. 2015-06-20T10:51:44Z 2015-06-20T10:51:44Z 2014 Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов / П.Н. Бибило, А.Л. Соловьев // Управляющие системы и машины. — 2014. — № 6. — С. 34-41. — Бібліогр.: 8 назв. — рос. 0130-5395 https://nasplib.isofts.kiev.ua/handle/123456789/83537 621.382 Предложены VHDL-модели библиотечных КМОП-элементов, позволяющие уменьшить погрешности оценок энергопотребления комбинационных схем из таких элементов и значительно сократить время получения оценок путем использования быстрого логического моделирования структурного описания схем. VHDL models of CMOS library components are suggested. The models allow decreasing estimated inaccuracy of power consumption of the circuits built on such components and significant reducing the estimation time at the expense of using the fast logical modeling of structural descriptions of the circuits. Запропоновано VHDL-моделі бібліотечних КМОП-елементів, які дозволяють зменшити похибки оцінок енергоспоживання комбінаційних схем з таких елементів та значно скорочують час отримання оцінок шляхом використання швидкого логічного моделювання структурного опису схем. ru Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України Управляющие системы и машины Технические средства информатики Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов An Estimation of Power Consumption of Combinational CMOS Circuits on the Base of Logical Simulation Taking Into Consideration the Element Time Delay Оцінка енергоспоживання комбінаційних КМОП-схем на основі логічного моделювання з урахуванням часових затримок елементів Article published earlier |
| institution |
Digital Library of Periodicals of National Academy of Sciences of Ukraine |
| collection |
DSpace DC |
| title |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов |
| spellingShingle |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов Бибило, П.Н. Соловьев, А.Л. Технические средства информатики |
| title_short |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов |
| title_full |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов |
| title_fullStr |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов |
| title_full_unstemmed |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов |
| title_sort |
оценка энергопотребления комбинационных кмоп-схем на основе логического моделирования с учетом временных задержек элементов |
| author |
Бибило, П.Н. Соловьев, А.Л. |
| author_facet |
Бибило, П.Н. Соловьев, А.Л. |
| topic |
Технические средства информатики |
| topic_facet |
Технические средства информатики |
| publishDate |
2014 |
| language |
Russian |
| container_title |
Управляющие системы и машины |
| publisher |
Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України |
| format |
Article |
| title_alt |
An Estimation of Power Consumption of Combinational CMOS Circuits on the Base of Logical Simulation Taking Into Consideration the Element Time Delay Оцінка енергоспоживання комбінаційних КМОП-схем на основі логічного моделювання з урахуванням часових затримок елементів |
| description |
Предложены VHDL-модели библиотечных КМОП-элементов, позволяющие уменьшить погрешности оценок энергопотребления комбинационных схем из таких элементов и значительно сократить время получения оценок путем использования быстрого логического моделирования структурного описания схем.
VHDL models of CMOS library components are suggested. The models allow decreasing estimated inaccuracy of power consumption of the circuits built on such components and significant reducing the estimation time at the expense of using the fast logical modeling of structural descriptions of the circuits.
Запропоновано VHDL-моделі бібліотечних КМОП-елементів, які дозволяють зменшити похибки оцінок енергоспоживання комбінаційних схем з таких елементів та значно скорочують час отримання оцінок шляхом використання швидкого логічного моделювання структурного опису схем.
|
| issn |
0130-5395 |
| url |
https://nasplib.isofts.kiev.ua/handle/123456789/83537 |
| citation_txt |
Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов / П.Н. Бибило, А.Л. Соловьев // Управляющие системы и машины. — 2014. — № 6. — С. 34-41. — Бібліогр.: 8 назв. — рос. |
| work_keys_str_mv |
AT bibilopn ocenkaénergopotrebleniâkombinacionnyhkmopshemnaosnovelogičeskogomodelirovaniâsučetomvremennyhzaderžekélementov AT solovʹeval ocenkaénergopotrebleniâkombinacionnyhkmopshemnaosnovelogičeskogomodelirovaniâsučetomvremennyhzaderžekélementov AT bibilopn anestimationofpowerconsumptionofcombinationalcmoscircuitsonthebaseoflogicalsimulationtakingintoconsiderationtheelementtimedelay AT solovʹeval anestimationofpowerconsumptionofcombinationalcmoscircuitsonthebaseoflogicalsimulationtakingintoconsiderationtheelementtimedelay AT bibilopn ocínkaenergospoživannâkombínacíinihkmopshemnaosnovílogíčnogomodelûvannâzurahuvannâmčasovihzatrimokelementív AT solovʹeval ocínkaenergospoživannâkombínacíinihkmopshemnaosnovílogíčnogomodelûvannâzurahuvannâmčasovihzatrimokelementív |
| first_indexed |
2025-11-25T20:39:27Z |
| last_indexed |
2025-11-25T20:39:27Z |
| _version_ |
1850530286127808512 |
| fulltext |
34 УСиМ, 2014, № 6
Технические средства информатики
УДК 621.382
П.Н. Бибило, А.Л. Соловьев
Оценка энергопотребления комбинационных КМОП-схем
на основе логического моделирования с учетом временных задержек элементов
Предложены VHDL-модели библиотечных КМОП-элементов, позволяющие уменьшить погрешности оценок энергопотребле-
ния комбинационных схем из таких элементов и значительно сократить время получения оценок путем использования бы-
строго логического моделирования структурного описания схем.
VHDL models of CMOS library components are suggested. The models allow decreasing estimatшщт inaccuracy of power consump-
tion of the circuits built on such components and significant reducing the estimation time at the expense of using the fast logical model-
ing of structural descriptions of the circuits.
Запропоновано VHDL-моделі бібліотечних КМОП-елементів, які дозволяють зменшити похибки оцінок енергоспоживання
комбінаційних схем з таких елементів та значно скорочують час отримання оцінок шляхом використання швидкого логічного
моделювання структурного опису схем.
Введение. Снижение энергопотребления инте-
гральных комплементарных структур металл-
оксид-полупроводник (КМОП) схем – одна из
актуальных проблем [1]. КМОП-схемы для
космических и специальных применений вы-
полняются по технологическим нормам, для
которых основную долю (до 80 процентов) по-
требляемой мощности составляет динамичес-
кая мощность, связанная с переключением тран-
зисторов. Оценивать энергопотребление схем
по их переключательной активности предло-
жено в [2], применять для этих целей системы
логического моделирования в [3–5]. Оценка
энергопотребления КМОП-схем на основе мо-
делирования структурных VHDL-описаний этих
схем [3, 4] осуществляется очень быстро, так
как использует в качестве оценки энергопо-
требления суммарное (по всем элементам схе-
мы) число переключающихся транзисторов в
сеансе моделирования схемы. При таком под-
ходе исследованы две VHDL-модели логиче-
ских элементов для подсчета числа переклю-
чений транзисторов.
В первой VHDL-модели [3] использованы
единичные задержки всех типов комбинаци-
онных логических элементов, при этом не учи-
тывались нагрузочные способности элементов
и разное время их переключения, энергоемко-
сти переключений из нуля в единицу (и обрат-
но) считались одинаковыми для всех полюсов
элементов всех типов.
Во второй VHDL-модели [4, 5] логических
элементов использованы нулевые задержки ком-
бинационных элементов, при этом учтены толь-
ко заключительные для рассматриваемого такта
моделирования схемы переключения элементов
и не принимались во внимание переключения,
вызванные гонками сигналов, не учитывались
также временные задержки и нагрузки элемен-
тов (разветвления выходных полюсов элемен-
тов).
Погрешность оценок энергопотребления не-
регулярных комбинационных КМОП-схем для
таких моделей составляла в среднем 15 процен-
тов в сравнении с оценками, получаемыми с
помощью моделирования транзисторных SPICE-
описаний схем. Однако для некоторых схем
погрешность оценок энергопотребления была
значительна и достигала 30 процентов, что и
привело к необходимости создания более точ-
ных моделей логических элементов.
В статье предлагаются новые VHDL-модели
комбинационных логических КМОП-элемен-
тов для оценки энергопотребления. Данные мо-
дели позволяют учитывать временные задерж-
ки элементов, входящих в схему. Информацию
УСиМ, 2014, № 6 35
о таких задержках можно получить после вы-
полнения синтеза схемы, например, используя
известный синтезатор LeonardoSpectrum [6].
Временные задержки, в свою очередь, учиты-
вают нагрузки элементов и различия в энерго-
потреблении при переходах значений входных
и выходных сигналов элементов из нулевых
состояний в единичные, и наоборот – из еди-
ничных в нулевые. Эксперименты показывают
значительное уменьшение погрешностей оце-
нок энергопотребления при использовании но-
вых моделей элементов.
Синтез логической схемы и получение
информации о задержках элементов
Рассмотрим логическую схему из КМОП-
элементов, показанную на рис. 1. Функции и
площади элементов приведены в табл. 1.
Рис. 1. Комбинационная логическая схема из КМОП-элементов
Полный состав библиотеки КМОП-элементов
приведен в [3]. Данная схема (рис. 1) получе-
на синтезатором LeonardoSpectrum [6], сфор-
мировавшим в результате синтеза не только
структурное описание схемы, но и соответ-
ствующий текстовый SDF-файл, в котором
для каждого элемента указываются задержки
сигналов от входных полюсов к выходному
полюсу (листинг 1). Отметим, что в листин-
ге 1 задержки задаются в наносекундах (нс) и
это максимальные задержки, так как в SDF-
файле в соответствующей строке до первого
двоеточия указывается минимальная задерж-
ка, после первого двоеточия указывается сред-
няя задержка, после второго двоеточия – мак-
симальная. Например, в девятой строке
IOPATH A Y (::0,53) (::0,42)
значение 0,53 задает максимальное время 0,53
нс установки значения выходного полюса Y
элемента XNOR2 при изменении входного по-
люса А из нулевого состояния в единичное,
значение 0,42 – максимальное время 0,42 нс
установки выходного полюса Y при изменении
входного полюса А из единичного состояния в
нулевое.
Т а б л и ц а 1. Логические элементы
Элемент Функция Площадь (условные единицы)
NO ( )y A B 268
A2 &y A B 435
XNOR2 y A B 742
Заметим, что о моделях логических элемен-
тов и SDF-файлах, получаемых синтезатором
LeonardoSpectrum, можно прочитать в [6]. Фор-
мирование SDF-файла осуществляется с уче-
том описаний задержек библиотечных элемен-
тов, задаваемых в LGN-файле описания биб-
лиотеки синтеза.
Листинг 1. SDF-файл для схемы (рис. 1)
--1-- (TIMESCALE 1 ns)
--2--(CELL
--3--( (CELLTYPE "XNOR2")
--4--( (INSTANCE ix5)
--5--( (DELAY
--6--( (ABSOLUTE
--7--( (PORT A (::0,00) (::0,00))
--8--( (PORT B (::0,00) (::0,00))
--9--( (IOPATH A Y (::0,53) (::0,42))
--10--( (IOPATH B Y (::0,47)
(::0.30)))))
--11--( (CELL
--12--( (CELLTYPE "NO")
--13--( (INSTANCE ix113)
--14--( (DELAY
--15--( (ABSOLUTE
--16--( (PORT A (::0,00) (::0,00))
--17--( (PORT B (::0,00) (::0,00))
--18--( (IOPATH A Y (::0.56) (::0.33))
--19--( (IOPATH B Y (::0.52)
(::0.26)))))
--20--( (CELL
--21--( (CELLTYPE "A2")
--22--( (INSTANCE ix1)
--23--( (DELAY
--24--( (ABSOLUTE
--25--( (PORT A (::0,00) (::0,00))
--26--( (PORT B (::0,00) (::0,00))
--27--( (IOPATH A Y
36 УСиМ, 2014, № 6
(::0,56) (::0,53))
--28--( (IOPATH B Y
(::0,56) (::0,48)))))
)
В SDF-файле курсивом выде-
лен элемент A2, в строках 27 и
28 листинга указываются для
этого элемента максимальные за-
держки распространения сигна-
ла от полюса А и полюса В к вы-
ходному полюсу Y. Значения
задержек формируются про-
граммой синтеза LeonardoSpec-
trum и учитывают нагрузки вы-
ходных полюсов Y КМОП-эле-
ментов. По этим значениям за-
держек далее будет рассчитываться энергопо-
требление элемента A2 при оценке энергопо-
требления схемы. Аналогично и для элементов
XNOR2, NO, входящих в схему (рис. 1).
Проблемы согласования логического и
схемотехнического моделирования схемы
В общем процессе проектирования логиче-
ских схем из библиотечных элементов сначала
по алгоритму функционирования схемы осу-
ществляется синтез логической схемы, затем
проводится логическое и схемотехническое
моделирование полученной схемы. На этапе
логического моделирования схемы можно оп-
ределить ее задержку, используя SDF-файл.
Схемотехническое моделирование позволяет
проверить правильность функционирования на
основе схемотехнических SPICE-моделей ло-
гических элементов, оценить задержки и энер-
гопотребление.
Основная идея состоит в разработке таких
VHDL-моделей элементов, чтобы можно было
оценить энергопотребление схемы, используя
систему логического, а не схемотехнического
моделирования. Общая схема информационно-
го согласования логического и схемотехниче-
ского моделирования для оценки энергопо-
требления показана на рис. 2. Для замены тру-
доемкого схемотехнического моделирования
быстродействующим логическим моделирова-
нием требуется выполнить:
Согласование структурных описаний (не-
тлистов) схемы. Данное согласование не вы-
зывает трудностей, так как сводится к преоб-
разованию формата VHDL-нетлиста схемы в
SPICE-нетлист той же схемы.
Согласование схемотехнических моделей
элементов библиотеки с логическими моделя-
ми. Это согласование есть стандартным и все-
гда выполняется при создании библиотеки
проектирования, имеется в виду согласование
параметров в LGN-файле с параметрами в
SPICE-описаниях схемотехнических моделей
логических элементов. Считается, что такое
согласование уже выполнено разработчиками
технологической библиотеки проектирования,
в качестве которой рассматривается библиоте-
ка КМОП-элементов, приведенная в [3].
Согласование форматов представления
одинаковых тестовых наборов. Это также не
вызывает затруднений и легко реализуется на
практике.
Основные проблемы, возникающие при реа-
лизации предлагаемого подхода, это:
– определение зависимости энергопотреб-
ления логического элемента от задержек, ука-
зываемых для него в SDF-файле;
– создание новых VHDL-моделей элементов,
позволяющих учитывать при оценке энергопо-
требления задержку каждого из элементов по
отдельности и схемы в целом.
Рис. 2. Логическое и схемотехническое моделирование для оценки
энергопотребления схем
УСиМ, 2014, № 6 37
Логическое моделирование с учетом SDF-
файла в системе VHDL-моделирования Model-
Sim осуществляется с использованием вклю-
ченных в данную систему пакетов библиотеки
VITAL [6], поэтому решение указанных про-
блем будет осуществляться с использованием
именно этих пакетов.
Получение зависимостей энергопотребле-
ния логических элементов от нагрузки и
смены состояний входных полюсов
Зависимости энергопотребления от нагруз-
ки для каждого типа логических элементов
строилась экспериментальным путем, т.е. пу-
тем схемотехнического моделирования в сис-
теме Accusim [8] SPICE-описаний библиотеч-
ных элементов. Отметим, что модели были по-
строены для инверторов, а также двух- и трех-
входовых элементов библиотеки [3].
В результате многочисленных эксперимен-
тов было установлено.
Величина потребляемой элементом энер-
гии зависит от сменяемых значений входных
сигналов (входных наборов) элемента. Для ка-
ждой пары (предыдущее значение, текущее
значение) значений входных наборов элемента
целесообразно построить функцию, опреде-
ляемую энергопотребление.
Величина потребляемой элементом энер-
гии зависит от нагрузки элемента и достаточно
точно аппроксимируется линейной функцией.
Задержка элемента также имеет линейную
зависимость от нагрузки элемента, поэтому
можно показать, что величина потребляемой
элементом энергии линейно зависит от за-
держки элемента.
Для нахождения зависимости энергопотреб-
ления логического элемента от его нагрузки
строились специальные схемы, имитирующие
окружение этого элемента в «больших» схемах,
после чего проводилось моделирование в систе-
ме Accusim. В качестве специальных использо-
вались схемы, в которых тестируемый логиче-
ский элемент был подключен таким образом, что
сигнал на каждый вход элемента проходил через
повторитель (для имитации реальных фронтов
сигналов в схеме), а к выходу этого элемента па-
раллельно подключено от одного до четырех
повторителей (для имитации разной нагрузки
элемента). Затем создавались упорядоченные
пары тестовых наборов, подаваемых многократ-
но на входы такой тестовой схемы. Число всех
таких упорядоченных пар <предыдущий набор,
текущий набор> для двухвходовых элементов
равно 16: <00, 00>, <00,01>, <00,10>, <00,11>,
<01,00>, … , <11,11>. Таким образом, для каж-
дой комбинации <предыдущий набор, текущий
набор> состояний входов элемента определялась
зависимость энергопотребления от разветвления
по выходу.
Например, для элемента А2 (конъюнктора)
и пары <00,11> сменяемых состояний на вхо-
дах элемента, был получен график (рис. 3) ли-
нейной зависимости энергопотребления от
числа N элементов, подключенных к выходу
(нагрузки).
Рис. 3. Зависимость энергопотребления E элемента А2 при
увеличении нагрузки N элемента при смене <00,11>
входных сигналов
График зависимости, показанной на рис. 3
описывается линейной функций E = kn + b.
Для VHDL-моделирования требуется вычис-
лить коэффициенты k, b и согласовать их с па-
раметрами времени, задаваемыми в SDF-фай-
ле. Это было сделано для каждого типа эле-
мента и каждой пары сменяемых наборов на
входах элемента при различной нагрузке. Для
фиксированной нагрузки N по среднему току
(Average) и времени моделирования подсчиты-
валась средняя энергия, потребленная логиче-
ским элементом. Так получалась каждая точка
на графике (рис. 3). График зависимости, по-
строенный по полученным четырем точкам,
аппроксимировался прямой линией, т.е. фор-
38 УСиМ, 2014, № 6
мировались значения k, b. Полученные таким
образом значения k, b были пересчитаны в
значения k1, b1 для получения линейной зави-
симости E = k1t + b1 энергии от задержек t, за-
даваемых в SDF-файле, и записаны в VHDL-
пакет perecl (рис. 2) для использования при
VHDL-моделировании. При подстановке полу-
ченных констант в линейную функцию E =
= k1t + b1 при VHDL-моделировании получает-
ся зависимость значения энергии (в кулонах)
от значений задержки элемента, указываемой в
SDF-файле. Для элемента А2 значения кон-
стант k1, b1 (в пакете эти константы имеют
имена A2_K, A2_B) приведены ниже в фрагмен-
те текста VHDL-пакета perecl (листинг 2)
для 16 пар входных наборов. Для третьей пары
наборов <00, 11> эти коэффициенты выделены
жирным шрифтом. Уравнение E = k1t + b1 в дан-
ном примере имеет вид E = 1,39243051 10–12t +
+ 5,49307101 10–14, где Е – энергия (кулоны);
t – задержка элемента, формируемая по значе-
ниям задержек элемента, указанным в SDF-
файле.
Листинг 2. Фрагмент VHDL-пакета perecl
TYPE IN2 IS ARRAY (0 to 15) OF real;
CONSTANT A2_K : IN2 :=(
-4,08989174E-26, -3,78323165E-19,
-2,9035714E-19, 1,39243051E-12,
-3,78323165E-19, -0,00000000E+00,
-6,29843327E-19, 1,37150945E-12,
-2,9035714E-19, 6,29843327E-19,
-0,00000000E+00, 1,38196824E-12,
-1,39243051E-12, 1,37150945E-12,
1,38196824E-12, 2,04494586E-25);
CONSTANT A2_B : IN2 :=(
4,00545274E-19, -6,27862605E-17,
5,17463467E-19, 5,49307101E-14,
-6,27862605E-17, 6,7574314E-19,
1,31658847E-13, 1,81446961E-13,
5,17463467E-19, 1,31658847E-13,
4,00545204E-19, 4,48169774E-14,
5,49307101E-14, 1,81446961E-13,
4,48169774E-14, 6,01086803E-19);
Создание VHDL-моделей логических
КМОП-элементов для оценки энергопо-
требления
Уточнения VHDL-моделей элементов, пред-
ложенных ранее в [3, 4], заключаются в сле-
дующем.
В новых моделях считается, что логические
элементы имеют «реальные» временные за-
держки, т.е. задержки, передаваемые из SDF-
файла. Ранее задержки элементов полагались
либо нулевыми, либо единичными (1 ns).
Функциональность элемента не связана с
оценкой энергопотребления. Функция элемен-
та при моделировании вычисляется с исполь-
зованием средств библиотеки VITAL (пакеты
VITAL_Timing, VCOMPONENTS). Оценка энерго-
потребления осуществляется в отдельном про-
цессе. При этом глобальный сигнал ZK, декла-
рированный в VHDL-пакете perecl, служит
для суммирования значений потребляемой энер-
гии по всем экземплярам элементов схемы.
Каждый элемент имеет доступ к данному гло-
бальному сигналу и командой ZK<=temp_c;
пересылает значение переменной, вычисляе-
мой с использованием разрешающей функции
sum_percl.
В листинге 3 приводится VHDL-модель ло-
гического элемента, предназначенная для оцен-
ки энергопотребления. Функция элемента (конъ-
юнкция входных сигналов) вычисляется с по-
мощью компонента A2_V, описанного в VITAL-
пакетах. В операторе
p0: A2_V generic map (TimingCheck-
sOn,InstancePath,Xon,MsgOn,
tpd_A_Y,tpd_B_Y,tipd_A,tipd_B)
port map (A=>A,B=>B,Y=>Y);
передаются параметры и создается экземпляр
компонента A2_V.
Энергопотребление элемента А2 подсчиты-
вается в процессе, вызываемом оператором
process (A,B). Данный процесс выполня-
ется, когда изменяется хотя бы один из сигна-
лов A, B, которые есть входными сигналами
элемента. Основное назначение процесса: по
параметрам tpd_A_Y, tpd_A_Y, tpd_B_Y,
tpd_B_Y, значения которых для каждого ком-
понента схемы при моделировании передаются
из SDF-файла, определить время задержки
элемента, предыдущую и новую комбинацию
состояний входов элемента и по этим значени-
ям подсчитать энергопотребление этого эле-
мента в текущем такте моделирования схемы.
УСиМ, 2014, № 6 39
Переменная average_delay в выражении
average_delay:=real((tpd_A_Y(tr01)+
tpd_A_Y(tr10)+tpd_B_Y(tr01)+tpd_B_Y(tr10
))/(4*10) fs);
задает среднее время задержки распростране-
ния сигнала в десятках фемтосекунд. Парамет-
ры tr01, tr10 определены в пакетах VITAL.
Моделирование надо выполнять с учетом ус-
тановки VHDL-параметра resolution
меньше, чем 4*10 фемтосекунд, чтобы не было
деления на нуль в результате округления.
Листинг 3. VHDL-модель логического эле-
мента A2
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.VITAL_Timing.all;
library work;
use work.VCOMPONENTS.all;
use work.perecl.all;
entity A2 is
generic(
TimingChecksOn: Boolean := True;
InstancePath: STRING := "*";
Xon: Boolean := False;
MsgOn: Boolean := True;
tpd_A_Y : VitalDe-
layType01 := (0,56 ns, 0,53 ns);
tpd_B_Y : VitalDe-
layType01 := (0,56 ns, 0,48 ns);
tipd_A : VitalDe-
layType01 := (0,000 ps, 0,000 ps);
tipd_B : VitalDe-
layType01 := (0,000 ps, 0,000 ps));
port (A:IN std_ulogic;
B:IN std_ulogic;
Y:OUT std_ulogic);
end;
architecture BEHAVIOR of A2 is
begin
p0: A2_V generic map (TimingChecksOn,I
nstancePath,Xon,MsgOn,
tpd_A_Y,tpd_B_Y,tipd_A,tipd_B)
port map (A=>A,B=>B,Y=>Y);
process (A,B)
variable case_number : integer;
variable average_delay,temp : real;
variable ptime : time:=0 ps;
variable pbits : std_logic_vector (1
to 2):=(OTHERS=>'U');
variable case_vector :
std_logic_vector (3 downto 0);
variable temp_c: REAL_V:=0.0;
begin
average_delay:=real((tpd_A_Y(tr01)+
tpd_A_Y(tr10)+tpd_B_Y(tr01)+tpd_B_Y(tr10))
/(4*10) fs); --50_0000 *10E-6 ns
average_delay:=average_delay*1.0E-
5;--ns
case_vector:=pbits&A&B;
case_number:=
TO_INTEGER(unsigned(case_vector));
temp:=average_delay*A2_K(case_number)+A2
_B(case_number);
pbits(1):=A;
pbits(2):=B;
temp_c:=temp_c+temp;
ZK<=temp_c;
ptime:=now;
end process;
end;
Подсчет энергопотребления осуществляется
следующим образом. Выражение
tpd_A_Y(tr01)+tpd_A_Y(tr10)+tpd_B_
_Y(tr01)+tpd_B_Y(tr10)
задает (в условных единицах, приведенных к
десяткам фемтосекунд) время задержки эле-
мента, затем определяется номер пары набо-
ров, сменяемых на входах элемента А2.
Переменная
case_vector:=pbits&A&B;
задает конкатенацию предыдущих и текущих
значений входных полюсов элемента. Для этой
пары определяются значения констант A2_K,
A2_B в соответствующих массивах констант.
После этого вычисляется значение аппрокси-
мирующей функции
temp:=average_delay*A2_K(case_number)+
A2_B(case_number),
задающей энергопотребление (в кулонах). В
примере значение case_number равно трем,
что соответствует паре <00,11>. Параметр av-
erage_delay – это время в наносекундах,
полученное с помощью выражения
average_delay:=average_delay*1.0E-5.
Именно в данных единицах (нс) указываются
задержки в SDF-файле.
40 УСиМ, 2014, № 6
Достоинством предложенных VHDL-моде-
лей элементов является и то, что их использо-
вание не требует изменения структурных VHDL-
описаний схем, получаемых программами
синтеза. Для оценки энергопотребления схе-
мы путем VHDL-моделирования требуется
создать только VHDL-модели элементов биб-
лиотеки, подобные рассмотренной модели
для элемента А2.
Эксперимент
Эксперимент проведен для комбинацион-
ных схем, исходные описания которых взяты
из известной библиотеки Berkeley PLA Test Set
и синтезированы схемы в синтезаторе Leonar-
doSpectrum. Синтез осуществлялся в базисе
одно-, двух- и трехвходовых элементов биб-
лиотеки [3] КМОП-элементов. Логическое мо-
делирование для оценки энергопотребления
схем проводилось в системе ModelSim [6].
Сравнение полученных оценок энергопотреб-
ления проведено с эталонными результатами,
полученными путем моделирования в системе
схемотехнического (аналогового) моделирова-
ния AccuSim II (ф. Menthor Graphics), исполь-
зующей моделирующее ядро Eldo [7], на тех
же тестовых наборах и в одном и том же мо-
дельном времени. Эксперименты для всех схем
при схемотехническом моделировании проводи-
лись с одинаковыми значениями параметров:
длительности передних и задних фронтов вход-
ных сигналов 1 нс; период подачи входных сиг-
налов 40 нс; температура +27°С. Задержка каж-
дой из схем не превышала 40 нс.
Были применены следующие типы T тесто-
вых последовательностей (тестов):
Тест типа T = 1. Тестовые наборы с равно-
вероятными значениями нулей и единиц, т.е.
на любом входе логической схемы для любого
входного набора вероятность появления еди-
ницы (нуля) равна 0,5.
Тест типа T = 2. Тестовые наборы, состоя-
щие из упорядоченной по возрастанию десятич-
ного эквивалента последовательности из 2n всех
наборов булева пространства размерности n.
Тест типа T = 3. Специальная последова-
тельность тестовых наборов, генерируемая с
помощью алгоритма [8] и задающая все упоря-
доченные пары входных наборов из булева
пространства размерности r.
Результаты экспериментального сравнения
представлены в табл. 2, где используются сле-
дующие обозначения:
n – число входов схемы;
S – суммарная площадь (в условных едини-
цах) всех элементов схемы;
T – тип теста T = 1, 2, 3;
K – число наборов в тесте (длина теста);
A (Average) – cредний потребляемый ток
(мА), измеренный с помощью схемотехничес-
кого моделирования в системе Accusim (ф. Men-
tor Graphics);
ModelSimE – предсказанное значение потреб-
ляемой энергии в кулонах (логическое моде-
лирование в системе ModelSim);
AccusimE – эталонное значение потребляе-
мой энергии в кулонах (схемотехническое мо-
делирование в системе Accusim), получаемое
как произведение среднего тока на время мо-
делирования;
– погрешность предсказания значения
энергии, потребляемой схемой,
( )ModelSim Accusim
Accusim
E E
E
100 %.
Анализ представленных в табл. 2 и других
полученных экспериментальных данных по-
зволяет сделать следующие выводы.
Скорость получения оценок энергопотреб-
ления на основе VHDL-моделирования значи-
тельно (на несколько порядков) выше, чем по-
лучение таких оценок с помощью схемотехни-
ческого моделирования. Например, VHDL-моде-
лирование схемы C1908 (табл. 2) на 4096 тес-
товых наборах потребовало 15 с, а схемотех-
ническое моделирование на тех же тестовых
наборах – один час времени работы компьюте-
ра. Оценка на 1024 тестовых наборах энерго-
потребления схемы INTB, состоящей из 1322
элементов суммарной площадью 444709 ус-
ловных единиц, в системе AccuSim II заняла 6
часов, а оценка предложенным способом на
основе VHDL-моделирования – 20 с, погреш-
ность составила 0,5 процента. На практике, од-
нако, требуется выполнение тестов, включаю-
УСиМ, 2014, № 6 41
щих десятки тысяч (и более) тестовых наборов,
поэтому сокращение времени, требуемого для
оценки энергопотребления, может быть гораз-
до более значительным, так требуется модели-
ровать схемы и большей размерности, чем
схемы C1908, INTB.
Предложенные VHDL-модели КМОП-эле-
ментов позволили значительно уменьшить по-
грешности оценок энергопотребления комби-
национных КМОП-схем в сравнении с полу-
ченными ранее [3, 4] оценками погрешности.
Достигнута средняя погрешность 3,39 процен-
тов оценки энергопотребления схем в сравне-
нии с аналоговым моделированием. Получае-
мые по новым VHDL-моделям оценки являют-
ся практически полезными, что позволяет мно-
гократно оценивать энергопотребление схем
на этапе логического проектирования, не вы-
полняя трудоемкое схемотехническое модели-
рование транзисторных SPICE-описаний схем.
Заключение. Применение логического мо-
делирования на основе предложенных VHDL-
моделей КМОП-элементов позволяет на не-
сколько порядков увеличить бы-
стродействие процессов оценки
энергопотребления в сравнении
со схемотехническим модели-
рованием и может быть исполь-
зовано на этапе логического
проектирования для оценки раз-
личных вариантов схемной реа-
лизации проекта цифровой
КМОП-схемы.
1. Рабаи Ж.М., Чандракасан А., Ни-
колич Б. Цифровые интегральные
схемы. – М.: Вильямс, 2007. –
912 с.
2. Estimation of Average Switching
Activity in Combinational and Se-
quential Circuits / А. Ghosh,
S. Devadas, K. Keutzer et al. // Proc.
29th ACM/ IEEE Design Automa-
tion Conf. – 1992. – P. 253–259.
3. Бибило П.Н., Кириенко Н.А. Оценка энергопотреб-
ления логических КМОП-схем по их переключа-
тельной активности // Микроэлектроника. – 2012. –
№ 1. – C. 65–77.
4. Бибило П.Н., Соловьев А.Л. Оценка энергопотреб-
ления КМОП-схем на базе логического моделирова-
ния // Информатика. – 2012. – № 1 (33). – С. 76–85.
5. Оценка энергопотребления цифровых КМОП-схем
на основе логического моделирования их струк-
турных описаний / П.Н. Бибило, А.Л. Соловьев,
В.Ш. Меликян и др. // Вестн. инженерной академии
Армении. – 2012, Т. 9. – № 3. – С. 600–610.
6. Бибило П.Н., Авдеев Н.А. VHDL. Эффективное ис-
пользование при проектировании цифровых сис-
тем. - М.: СОЛОН-Пресс, 2006. – 344 с.
7. Eldo User’s Manual, v. 6.5_1. – 2005.
8. Закревский А.Д. Минимизация перебора ориенти-
рованных пар // Танаевские чтения: доклады Чет-
вертой междунар. науч. конф., Минск, 29–30 марта
2010 г. / ОИПИ НАН Беларуси. – Минск, 2010. –
С. 58–62.
Поступила 21.10.2014
Тел. для справок: +37 517 284-2084, 284-2076 (Минск)
E-mail: bibilo@newman.bas-net.by
© П.Н. Бибило, А.Л. Соловьев, 2014
Т а б л и ц а 2. Результаты эксперимента
Имя схемы S n T K A EAccusim EModelSim ,%
b12 19826 15 1 110 3,302·10-4 1,453·10-9 1,547·10-9 6,5
C1355 96065 41 1 4096 2,441·10-3 3,999·10-7 4,250·10-7 6,3
CHT 47770 47 1 4096 1,602·10-3 2,625·10-7 2,599·10-7 -1,0
FRG2 299501 143 1 4096 7,249·10-3 1,188·10-6 1,223·10-6 2,9
I8 205684 133 1 4096 9,127·10-4 1,495·10-7 1,552·10-7 3,8
C1908 92522 33 1 4096 2,228·10-3 3,651·10-7 4,046·10-7 10,8
life 15178 9 2 512 2,394·10-4 4,904·10-9 4,896·10-9 -0,2
C432 43357 36 1 4096 9,491·10-4 1,555·10-7 1,689·10-7 8,6
FRG1 40154 28 1 4096 5,973·10-4 9,787·10-8 1,015·10-7 3,7
C880 25808 60 1 4096 3,431·10-4 5,622·10-8 5,764·10-8 2,5
C499 46917 41 1 4096 1,850·10-4 3,032·10-8 2,882·10-8 -4,9
I3 30545 132 1 4096 5,591·10-4 9,160·10-8 9,629·10-8 5,1
I9 32236 88 1 512 5,784·10-4 1,185·10-8 1,070·10-8 -9,6
DALU 124071 75 1 1024 2,117·10-3 8,673·10-8 8,630·10-8 -0,5
MY_ADDER 28904 4 1 2048 7,134·10-4 5,844·10-8 5,761·10-8 -1,4
CM42A 1830 4 3 241 3,082·10-5 2,971·10-10 3,093·10-10 4,1
CM82A 3437 5 3 993 6,522·10-5 2,591·10-9 2,649·10-9 2,3
CM85A 4994 11 1 2048 9,514·10-5 7,794·10-9 7,662·10-9 -1,7
CM138A 1099 6 1 2048 1,194·10-5 9,777·10-10 1,014·10-9 3,7
CM162A 6283 14 1 2048 1,189·10-4 9,742·10-9 9,382·10-9 -3,7
INTB 444709 15 1 1024 4,798·10-3 1,965·10-7 1,975·10-7 0,5
<<
/ASCII85EncodePages false
/AllowTransparency false
/AutoPositionEPSFiles true
/AutoRotatePages /None
/Binding /Left
/CalGrayProfile (Dot Gain 20%)
/CalRGBProfile (sRGB IEC61966-2.1)
/CalCMYKProfile (U.S. Web Coated \050SWOP\051 v2)
/sRGBProfile (sRGB IEC61966-2.1)
/CannotEmbedFontPolicy /Error
/CompatibilityLevel 1.4
/CompressObjects /Tags
/CompressPages true
/ConvertImagesToIndexed true
/PassThroughJPEGImages true
/CreateJobTicket false
/DefaultRenderingIntent /Default
/DetectBlends true
/DetectCurves 0.0000
/ColorConversionStrategy /CMYK
/DoThumbnails false
/EmbedAllFonts true
/EmbedOpenType false
/ParseICCProfilesInComments true
/EmbedJobOptions true
/DSCReportingLevel 0
/EmitDSCWarnings false
/EndPage -1
/ImageMemory 1048576
/LockDistillerParams false
/MaxSubsetPct 100
/Optimize true
/OPM 1
/ParseDSCComments true
/ParseDSCCommentsForDocInfo true
/PreserveCopyPage true
/PreserveDICMYKValues true
/PreserveEPSInfo true
/PreserveFlatness true
/PreserveHalftoneInfo false
/PreserveOPIComments true
/PreserveOverprintSettings true
/StartPage 1
/SubsetFonts true
/TransferFunctionInfo /Apply
/UCRandBGInfo /Preserve
/UsePrologue false
/ColorSettingsFile ()
/AlwaysEmbed [ true
]
/NeverEmbed [ true
]
/AntiAliasColorImages false
/CropColorImages true
/ColorImageMinResolution 300
/ColorImageMinResolutionPolicy /OK
/DownsampleColorImages true
/ColorImageDownsampleType /Bicubic
/ColorImageResolution 300
/ColorImageDepth -1
/ColorImageMinDownsampleDepth 1
/ColorImageDownsampleThreshold 1.50000
/EncodeColorImages true
/ColorImageFilter /DCTEncode
/AutoFilterColorImages true
/ColorImageAutoFilterStrategy /JPEG
/ColorACSImageDict <<
/QFactor 0.15
/HSamples [1 1 1 1] /VSamples [1 1 1 1]
>>
/ColorImageDict <<
/QFactor 0.15
/HSamples [1 1 1 1] /VSamples [1 1 1 1]
>>
/JPEG2000ColorACSImageDict <<
/TileWidth 256
/TileHeight 256
/Quality 30
>>
/JPEG2000ColorImageDict <<
/TileWidth 256
/TileHeight 256
/Quality 30
>>
/AntiAliasGrayImages false
/CropGrayImages true
/GrayImageMinResolution 300
/GrayImageMinResolutionPolicy /OK
/DownsampleGrayImages true
/GrayImageDownsampleType /Bicubic
/GrayImageResolution 300
/GrayImageDepth -1
/GrayImageMinDownsampleDepth 2
/GrayImageDownsampleThreshold 1.50000
/EncodeGrayImages true
/GrayImageFilter /DCTEncode
/AutoFilterGrayImages true
/GrayImageAutoFilterStrategy /JPEG
/GrayACSImageDict <<
/QFactor 0.15
/HSamples [1 1 1 1] /VSamples [1 1 1 1]
>>
/GrayImageDict <<
/QFactor 0.15
/HSamples [1 1 1 1] /VSamples [1 1 1 1]
>>
/JPEG2000GrayACSImageDict <<
/TileWidth 256
/TileHeight 256
/Quality 30
>>
/JPEG2000GrayImageDict <<
/TileWidth 256
/TileHeight 256
/Quality 30
>>
/AntiAliasMonoImages false
/CropMonoImages true
/MonoImageMinResolution 1200
/MonoImageMinResolutionPolicy /OK
/DownsampleMonoImages true
/MonoImageDownsampleType /Bicubic
/MonoImageResolution 1200
/MonoImageDepth -1
/MonoImageDownsampleThreshold 1.50000
/EncodeMonoImages true
/MonoImageFilter /CCITTFaxEncode
/MonoImageDict <<
/K -1
>>
/AllowPSXObjects false
/CheckCompliance [
/None
]
/PDFX1aCheck false
/PDFX3Check false
/PDFXCompliantPDFOnly false
/PDFXNoTrimBoxError true
/PDFXTrimBoxToMediaBoxOffset [
0.00000
0.00000
0.00000
0.00000
]
/PDFXSetBleedBoxToMediaBox true
/PDFXBleedBoxToTrimBoxOffset [
0.00000
0.00000
0.00000
0.00000
]
/PDFXOutputIntentProfile ()
/PDFXOutputConditionIdentifier ()
/PDFXOutputCondition ()
/PDFXRegistryName ()
/PDFXTrapped /False
/CreateJDFFile false
/Description <<
/ARA <FEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A064806270641064206290020064406440637062806270639062900200641064A00200627064406450637062706280639002006300627062A0020062F0631062C0627062A002006270644062C0648062F0629002006270644063906270644064A0629061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E>
/BGR <FEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d04420438002c0020043c0430043a04410438043c0430043b043d043e0020043f044004380433043e04340435043d04380020043704300020043204380441043e043a043e043a0430044704350441044204320435043d0020043f04350447043004420020043704300020043f044004350434043f0435044704300442043d04300020043f043e04340433043e0442043e0432043a0430002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002e>
/CHS <FEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000410064006f006200650020005000440046002065876863900275284e8e9ad88d2891cf76845370524d53705237300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002>
/CHT <FEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef69069752865bc9ad854c18cea76845370524d5370523786557406300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002>
/CZE <FEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002c0020006b00740065007200e90020007300650020006e0065006a006c00e90070006500200068006f006400ed002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b00200061002000700072006500700072006500730073002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002e>
/DAN <FEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002c0020006400650072002000620065006400730074002000650067006e006500720020007300690067002000740069006c002000700072006500700072006500730073002d007500640073006b007200690076006e0069006e00670020006100660020006800f8006a0020006b00760061006c0069007400650074002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002e>
/DEU <FEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f006300680077006500720074006900670065002000500072006500700072006500730073002d0044007200750063006b0065002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002e>
/ESP <FEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f00730020005000440046002000640065002000410064006f0062006500200061006400650063007500610064006f00730020007000610072006100200069006d0070007200650073006900f3006e0020007000720065002d0065006400690074006f007200690061006c00200064006500200061006c00740061002000630061006c0069006400610064002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002e>
/ETI <FEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006b00760061006c006900740065006500740073006500200074007200fc006b006900650065006c007300650020007000720069006e00740069006d0069007300650020006a0061006f006b007300200073006f00620069006c0069006b0065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000a>
/FRA <FEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f0075007200200075006e00650020007100750061006c0069007400e90020006400270069006d007000720065007300730069006f006e00200070007200e9007000720065007300730065002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002e>
/GRE <FEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003c003bf03c5002003b503af03bd03b103b9002003ba03b103c42019002003b503be03bf03c703ae03bd002003ba03b103c403ac03bb03bb03b703bb03b1002003b303b903b1002003c003c103bf002d03b503ba03c403c503c003c903c403b903ba03ad03c2002003b503c103b303b103c303af03b503c2002003c503c803b703bb03ae03c2002003c003bf03b903cc03c403b703c403b103c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f00620065002000520065006100640065007200200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002e>
/HEB <FEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005D405DE05D505EA05D005DE05D905DD002005DC05D405D305E405E105EA002005E705D305DD002D05D305E405D505E1002005D005D905DB05D505EA05D905EA002E002005DE05E105DE05DB05D90020005000440046002005E905E005D505E605E805D5002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002E05D005DE05D905DD002005DC002D005000440046002F0058002D0033002C002005E205D905D905E005D5002005D105DE05D305E805D905DA002005DC05DE05E905EA05DE05E9002005E905DC0020004100630072006F006200610074002E002005DE05E105DE05DB05D90020005000440046002005E905E005D505E605E805D5002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002E>
/HRV (Za stvaranje Adobe PDF dokumenata najpogodnijih za visokokvalitetni ispis prije tiskanja koristite ove postavke. Stvoreni PDF dokumenti mogu se otvoriti Acrobat i Adobe Reader 5.0 i kasnijim verzijama.)
/HUN <FEFF004b0069007600e1006c00f30020006d0069006e0151007300e9006701710020006e0079006f006d00640061006900200065006c0151006b00e90073007a00ed007401510020006e0079006f006d00740061007400e100730068006f007a0020006c006500670069006e006b00e1006200620020006d0065006700660065006c0065006c0151002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b0061007400200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c0020006b00e90073007a00ed0074006800650074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002000e9007300200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002c0020007600610067007900200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c0020006e00790069007400680061007400f3006b0020006d00650067002e>
/ITA <FEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f00620065002000500044004600200070006900f900200061006400610074007400690020006100200075006e00610020007000720065007300740061006d0070006100200064006900200061006c007400610020007100750061006c0069007400e0002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002e>
/JPN <FEFF9ad854c18cea306a30d730ea30d730ec30b951fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e305930023053306e8a2d5b9a306b306f30d530a930f330c8306e57cb30818fbc307f304c5fc59808306730593002>
/KOR <FEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020ace0d488c9c80020c2dcd5d80020c778c1c4c5d00020ac00c7a50020c801d569d55c002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002e>
/LTH <FEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b00750072006900650020006c0061006200690061007500730069006100690020007000720069007400610069006b007900740069002000610075006b01610074006f00730020006b006f006b007900620117007300200070006100720065006e006700740069006e00690061006d00200073007000610075007300640069006e0069006d00750069002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002e>
/LVI <FEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006100730020006900720020012b00700061016100690020007000690065006d01130072006f00740069002000610075006700730074006100730020006b00760061006c0069007401010074006500730020007000690072006d007300690065007300700069006501610061006e006100730020006400720075006b00610069002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002e>
/NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken die zijn geoptimaliseerd voor prepress-afdrukken van hoge kwaliteit. De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 5.0 en hoger.)
/NOR <FEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200073006f006d00200065007200200062006500730074002000650067006e0065007400200066006f00720020006600f80072007400720079006b006b0073007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c0069007400650074002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002e>
/POL <FEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f300770020005000440046002000700072007a0065007a006e00610063007a006f006e00790063006800200064006f002000770079006400720075006b00f30077002000770020007700790073006f006b00690065006a0020006a0061006b006f015b00630069002e002000200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002e>
/PTB <FEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020006d00610069007300200061006400650071007500610064006f00730020007000610072006100200070007200e9002d0069006d0070007200650073007300f50065007300200064006500200061006c007400610020007100750061006c00690064006100640065002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002e>
/RUM <FEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000610064006500630076006100740065002000700065006e0074007200750020007400690070010300720069007200650061002000700072006500700072006500730073002000640065002000630061006c006900740061007400650020007300750070006500720069006f006100720103002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002e>
/RUS <FEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043c0430043a04410438043c0430043b044c043d043e0020043f043e04340445043e0434044f04490438044500200434043b044f00200432044b0441043e043a043e043a0430044704350441044204320435043d043d043e0433043e00200434043e043f0435044704300442043d043e0433043e00200432044b0432043e04340430002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e0020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002e>
/SKY <FEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f006200650020005000440046002c0020006b0074006f007200e90020007300610020006e0061006a006c0065007001610069006500200068006f0064006900610020006e00610020006b00760061006c00690074006e00fa00200074006c0061010d00200061002000700072006500700072006500730073002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e>
/SLV <FEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f006200650020005000440046002c0020006b006900200073006f0020006e0061006a007000720069006d00650072006e0065006a016100690020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020007300200070007200690070007200610076006f0020006e00610020007400690073006b002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002e>
/SUO <FEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f00740020006c00e400680069006e006e00e4002000760061006100740069007600610061006e0020007000610069006e006100740075006b00730065006e002000760061006c006d0069007300740065006c00750074007900f6006800f6006e00200073006f00700069007600690061002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a0061002e0020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002e>
/SVE <FEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400200073006f006d002000e400720020006c00e4006d0070006c0069006700610020006600f60072002000700072006500700072006500730073002d007500740073006b00720069006600740020006d006500640020006800f600670020006b00760061006c0069007400650074002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002e>
/TUR <FEFF005900fc006b00730065006b0020006b0061006c006900740065006c0069002000f6006e002000790061007a006401310072006d00610020006200610073006b013100730131006e006100200065006e0020006900790069002000750079006100620069006c006500630065006b002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002e>
/UKR <FEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043f0435044004350434043404400443043a043e0432043e0433043e0020043404400443043a0443002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002e>
/ENU (Use these settings to create Adobe PDF documents best suited for high-quality prepress printing. Created PDF documents can be opened with Acrobat and Adobe Reader 5.0 and later.)
>>
/Namespace [
(Adobe)
(Common)
(1.0)
]
/OtherNamespaces [
<<
/AsReaderSpreads false
/CropImagesToFrames true
/ErrorControl /WarnAndContinue
/FlattenerIgnoreSpreadOverrides false
/IncludeGuidesGrids false
/IncludeNonPrinting false
/IncludeSlug false
/Namespace [
(Adobe)
(InDesign)
(4.0)
]
/OmitPlacedBitmaps false
/OmitPlacedEPS false
/OmitPlacedPDF false
/SimulateOverprint /Legacy
>>
<<
/AddBleedMarks false
/AddColorBars false
/AddCropMarks false
/AddPageInfo false
/AddRegMarks false
/ConvertColors /ConvertToCMYK
/DestinationProfileName ()
/DestinationProfileSelector /DocumentCMYK
/Downsample16BitImages true
/FlattenerPreset <<
/PresetSelector /MediumResolution
>>
/FormElements false
/GenerateStructure false
/IncludeBookmarks false
/IncludeHyperlinks false
/IncludeInteractive false
/IncludeLayers false
/IncludeProfiles false
/MultimediaHandling /UseObjectSettings
/Namespace [
(Adobe)
(CreativeSuite)
(2.0)
]
/PDFXOutputIntentProfileSelector /DocumentCMYK
/PreserveEditing true
/UntaggedCMYKHandling /LeaveUntagged
/UntaggedRGBHandling /UseDocumentProfile
/UseDocumentBleed false
>>
]
>> setdistillerparams
<<
/HWResolution [2400 2400]
/PageSize [612.000 792.000]
>> setpagedevice
|